TOP
0
0
【簡體曬書區】 單本79折,5本7折,活動好評延長至5/31,趕緊把握這一波!

縮小範圍


商品類型


簡體書 (104)

商品狀況


可訂購商品 (77)
無法訂購商品 (27)

庫存狀況


無庫存 (104)

商品定價


$199以下 (1)
$200~$399 (29)
$400~$599 (43)
$600~$799 (19)
$800以上 (12)

出版日期


2024年 (1)
2022~2023 (11)
2020~2021 (13)
2018~2019 (13)
2016~2017 (11)
2016年以前 (51)

裝訂方式


平裝 (95)

作者


(德)烏利希‧蒂澤 (3)
(美)彼得‧范‧贊特 (3)
(加)西蒙‧赫金 (2)
(美)約翰‧D.克勞斯、羅納德‧J.馬赫夫克 (2)
(加)S.赫金 (1)
(加)赫金(Haykin.S.) (1)
(印)T.L.辛格 (1)
(美)Ahmed M.A. Ali (1)
(美)Alan V. Oppenheim、(美)George C. Verghese (1)
(美)I.J.巴爾 (1)
(美)James W. Nilsson、(美)Susan A. Riedel (1)
(美)M.MORRIS MANO、(美)邁克爾‧D.奇萊蒂 (1)
(美)R.C.岡薩雷斯、R.E.伍茲、S.L.艾丁斯 (1)
(美)REINHOLD LUDWIG、GENE BOGDANOV (1)
(美)Robert L. Boylestad、(美)Louis Nashelsky (1)
(美)S.帕爾尼卡 (1)
(美)William H. Hayt、Jr (1)
(美)亞里夫 (1)
(美)伯勒斯 (1)

出版社/品牌


電子工業出版社 (104)

三民網路書店 / 搜尋結果

104筆商品,1/6頁
通信概論(第六版)(簡體書)
滿額折

1.通信概論(第六版)(簡體書)

作者:譚明新; (美)安娜貝爾‧Z. 多德  出版社:電子工業出版社  出版日:2024/03/01 裝訂:平裝
定價:594 元, 優惠價:87 517
海外經銷商無庫存,到貨日平均30天至45天
CMOS射頻集成電路設計(第二版)(簡體書)

2.CMOS射頻集成電路設計(第二版)(簡體書)

作者:(美)托馬斯‧H.李  出版社:電子工業出版社  出版日:2012/08/01 裝訂:平裝
《CMOS射頻集成電路設計(第二版)》被譽為射頻集成電路設計的指南書全面深入地介紹了設計千兆赫茲(GHz)CMOS射頻集成電路的細節。本書首先簡要介紹了無線電發展史和無線系統原理;在回顧集成電路元件特性、MOS器件物理和模型、RLC串並聯和其他振盪網絡及分布式系統特點的基礎上,介紹了史密斯圓圖、S參數和帶寬估計技術;著重說明了現代高頻寬帶放大器的設計方法,詳細討論了關鍵的射頻電路模塊,包括低噪聲放
絕版無法訂購
芯片及系統的電源完整性建模與設計(簡體書)

3.芯片及系統的電源完整性建模與設計(簡體書)

作者:(美)斯瓦米納坦; (美)恩金  出版社:電子工業出版社  出版日:2009/08/01 裝訂:平裝
本書是有關電源完整性設計和建模方面的一部豐富而又生動的指南。書中通過真實的案例分析和可下載的軟件實例,描述了當今高效電源分配和噪聲最小化的設計與建模的前沿技術。作者介紹了電源配送網絡組成部件、分析技術、測量技術及建模需求;詳盡解釋了電源/地平面建模,包括平面特性、集總模型、基於分布電路的方案等;介紹了幾種先進的時域仿真技術(例如宏模型),并討論了它們的優缺點;此外還展示了建模技術在多種高級案例中的
絕版無法訂購
數字VLSI芯片設計:使用Cadence和Synopsys CAD工具(簡體書)

4.數字VLSI芯片設計:使用Cadence和Synopsys CAD工具(簡體書)

作者:(美)布魯范德  出版社:電子工業出版社  出版日:2009/11/01 裝訂:平裝
本書介紹如何使用Cadence和Synopsys公司的CAD工具來實際設計數字VLSl芯片。讀者通過本書可以循序漸進地學習這些CAD工具,并使用這些軟件設計出可製造的數字集成電路芯片。本書內容按集成電路的設計流程編排,包括CAD設計平臺、電路圖輸入、Vefil09仿真、版圖編輯、標準單元設計、模擬和數模混合信號仿真、單元表征和建庫、Vefilog綜合、抽象形式生成、布局布線及芯片組裝等工具;每一工
絕版無法訂購
Verilog HDL高級數字設計(第二版)(英文版)(簡體書)

5.Verilog HDL高級數字設計(第二版)(英文版)(簡體書)

作者:(美)西勒提  出版社:電子工業出版社  出版日:2010/04/01 裝訂:平裝
本書依據數字集成電路系統工程開發的要求與特點,利用Verilog HDL對數字系統進行建模、設計與驗證,對ASIC/FPGA系統芯片工程設計開發的關鍵技術與流程進行了深入講解,內容包括:集成電路芯片系統的建模、電路結構權衡、流水、多核微處理器、功能驗證、時序分析、測試平臺、故障模擬、可測性設計、邏輯綜合、后綜合驗證等集成電路系統的前后端工程設計與實現中的關鍵技術及設計案例。書中以大量設計實例敘述了
絕版無法訂購
基於FPGA和CPLD的數字系統設計(簡體書)
滿額折

6.基於FPGA和CPLD的數字系統設計(簡體書)

作者:[愛爾蘭]格勞特  出版社:電子工業出版社  出版日:2009/02/01 裝訂:平裝
本書系統地介紹了可編程邏輯器件類型、數字系統描述的硬件語言與設計方法,以及系統的測試和實現,從理論、方法、工具到實踐進行了全面闡述。全書共10章。第1章介紹了可編程邏輯器件的類型;第2、3章結合實例,介紹了電子系統設計背景及其PCB設計;第4章介紹了先進數字設計中使用的各種編程語言;第5、6章介紹了數字邏輯設計原理以及運用VHDL語言對一系列電路的實例化;第7、8章介紹了DSP的VHDL實現以及數
定價:330 元, 優惠價:1 330
海外經銷商無庫存,到貨日平均30天至45天
高速系統設計:抖動、噪聲與信號完整性(簡體書)

7.高速系統設計:抖動、噪聲與信號完整性(簡體書)

作者:(美)李鵬  出版社:電子工業出版社  出版日:2009/07/01 裝訂:平裝
本書著重介紹了最新的抖動、噪聲、誤碼(JNB)和信號完整性(SI)問題的解決方案,內容涉及理論、分析、方法和應用。本書討論了鏈路部件和整個系統中的JNB及SI難題;論述了與JNB及SI有關的術語、定義、基本概念和產生根源;給出了最新的理論、分析、方法和實際對象,引導讀者從最基本的數學、統計學、電路與系統模型出發直到最終應用。本書的重點在于研究時鐘及串行數據通信中的應用問題,涵蓋JNB及SI的仿真、
絕版無法訂購
Verilog HDL數字設計與綜合:第2版(簡體書)

8.Verilog HDL數字設計與綜合:第2版(簡體書)

作者:(美)帕爾尼卡  出版社:電子工業出版社  出版日:2009/07/01 裝訂:平裝
本書從用戶的角度全面闡述了Verilog HDL語言的重要細節和基本設計方法,并詳細介紹了Verilog 2001版的主要改進部分。本書重點關注如何應用Verilog語言進行數字電路和系統的設計和驗證,而不僅僅講解語法。全書從基本概念講起,并逐漸過渡到編程語言接口以及邏輯綜合等高級主題。書中的內容全部符合Verilog HDL IEEE 1364-2001標準。 本書適合電子、計算機、自動控制等
絕版無法訂購
數字VLSI晶片設計:使用Cadence和Synopsys CAD工具.英文版(簡體書)

9.數字VLSI晶片設計:使用Cadence和Synopsys CAD工具.英文版(簡體書)

作者:(美)布魯範德  出版社:電子工業出版社  出版日:2009/07/01 裝訂:平裝
本書介紹如何使用Cadence和Synopsys公司的CAD工具來實際設計數字VLSI芯片。讀者通過本書可以循序漸進地學習這些CAD工具,并使用這些軟件設計出可制造的數字集成電路芯片。本書內容按集成電路的設計流程編排,包括CAD設計平臺、電路圖輸入、Verilog仿真、版圖編輯、標準單元設計、模擬和數模混合信號仿真、單元表征和建庫、Verilog綜合、抽象形式生成、布局布線及芯片總成等工具;每一工
絕版無法訂購
微納尺度製造工程(第三版)(簡體書)
滿額折

10.微納尺度製造工程(第三版)(簡體書)

作者:(美)坎貝爾  出版社:電子工業出版社  出版日:2011/05/31 裝訂:平裝
坎貝爾的這本《微納尺度製造工程(第三版)》是《微電子製造科學原理與工程技術》的第三版。《微納尺度製造工程(第三版)》系統地介紹了微電子製造科學原理與工程技術,覆蓋了集成電路製造所涉及的所有基本單項工藝,包括光刻、等離子體和反應離子刻蝕、離子注入、擴散、氧化、蒸發、氣相外延生長、濺射和化學氣相淀積等。對每一種單項工藝,不僅介紹了它的物理和化學原理,還描述了用于集成電路製造的工藝設備。本書新增加的內容
定價:498 元, 優惠價:1 498
海外經銷商無庫存,到貨日平均30天至45天
電磁理論:高速模擬與數字通信電路設計(簡體書)

11.電磁理論:高速模擬與數字通信電路設計(簡體書)

作者:張為  出版社:電子工業出版社  出版日:2011/05/01 裝訂:平裝
《電磁理論--高速模擬與數字通信電路設計》(作者尼克內賈德)是一本關於無源元件電磁理論基本原理和射頻集成電路設計的經典教材。書中詳細闡述了有關電阻、電容和電感的基本原理、設計與應用等基本問題;對相關電路設計進行了深入探討;同時結合應用介紹了傳輸線、變壓器、分布式電路以及硅基射頻與毫米波集成電路的發展現狀。全書內容豐富,講解深入淺出,注重理論聯系實際。此外,書中列舉了大量工程實例及圖表,有利于讀者更
絕版無法訂購
數字信號處理(第四版)(簡體書)

12.數字信號處理(第四版)(簡體書)

作者:(美)普埃克  出版社:電子工業出版社  出版日:2007/06/01 裝訂:平裝
本書全面系統地闡述了數字信號處理的基礎知識,其中前10章講述了確定性數字信號處理的知識,包括離散時間信號及系統的介紹、z變換、傅里葉變換、頻率分析以及濾波器設計等。后4章則介紹了隨機數字信號處理的知識,主要學習多速率數字信號處理、線性預測、自適應濾波以及功率譜估計。本書內容全面豐富、系統性強、概念清晰。敘述深入淺出,為了幫助讀者深刻理解基本理論和分析方法,書中列舉了大量的精選例題,同時還給出了許多
絕版無法訂購
信號完整性指南:即時測試.測量與設計仿真(簡體書)

13.信號完整性指南:即時測試.測量與設計仿真(簡體書)

作者:(英)勞迪  出版社:電子工業出版社  出版日:2010/04/01 裝訂:平裝
本書是高速數字設計中現代信號完整性測試和測量方面全面、權威、極具實踐價值的指導手冊。此領域的三位頂級專家將指導你對現代邏輯信號檢測和嵌入式系統故障進行系統地診斷、觀察、分析和排除。作者用簡單易懂的語言,介紹了嵌入式系統從規格定型到前仿真的整個生命周期,描述了其中的關鍵技術和概念。本書介紹了怎樣使用實時測試和測量技術,解決當今不斷增長、難于滿足的互操作性和兼容性要求,給出詳細、完整的案例分析,使讀者
絕版無法訂購
模擬CMOS電路設計折中與優化(簡體書)

14.模擬CMOS電路設計折中與優化(簡體書)

作者:David.M.Binkley(大衛; M.賓克利)  出版社:電子工業出版社  出版日:2013/05/27 裝訂:平裝
《國外電子與通信教材系列:模擬CMOS電路設計折中與優化》從嶄新的視角給出模擬CMOS電路設計的折中和優化方法,提出了反型係數的概念,推出採用反型係數、漏極電流和溝道長度作為器件和電路設計的三個選項的設計方法。全書分為兩部分,第一部分深入、細緻地研究了三個選項對器件、基本電路各種性能的影響;對於諸如速度飽和、垂直電場遷移率減小、漏致勢壘降低等短溝道效應以及熱噪聲、閃爍噪聲和失配等高階效應對器件和電
絕版無法訂購
射頻與微波晶體管放大器基礎(簡體書)
滿額折

15.射頻與微波晶體管放大器基礎(簡體書)

作者:(美)I.J.巴爾  出版社:電子工業出版社  出版日:2013/03/12 裝訂:平裝
本書全面講解了射頻與微波晶體管放大器的各種類型,包括低噪聲、窄帶、寬帶、線性、高功率、高效率、高壓放大器,以及離散、單片集成與混合集成放大器。主要的研究主題包括晶體管建模、分析、設計、表徵、測量、封裝、熱設計及製造技術。本書特別強調理論與實踐的結合,讀者將瞭解並學會解決與放大器相關的各類設計問題,從放大器的匹配網絡設計、偏置電路設計到穩定性分析等。超過160道的習題有助於提高讀者對基本的放大器和電
定價:510 元, 優惠價:87 444
海外經銷商無庫存,到貨日平均30天至45天
移動通信(簡體書)
滿額折

16.移動通信(簡體書)

作者:許希斌; Mischa Schwartz(米沙.施瓦茨)  出版社:電子工業出版社  出版日:2013/03/01 裝訂:平裝
從全球蜂窩電話系統到本地甚至個人網絡,移動通信已成為人們生活中很重要的部分。本書提供了對數字移動無線網絡的指導性介紹,使用了大量的現實世界的例子來闡明移動無線通信的理論基礎。本書首先回顧了傳播現象,信道分配、調製和編碼技術等,然後回顧了GSM和IS-95系統,並詳細探討了2.5G和3G包交換系統,討論了性能分析、訪問和調度技巧。最後介紹了目前應用比較多的無線局域網和個域網(WPAN)。書中有非常多
定價:294 元, 優惠價:87 256
海外經銷商無庫存,到貨日平均30天至45天
自適應濾波器原理-(第四版)(簡體書)

17.自適應濾波器原理-(第四版)(簡體書)

作者:(加)赫金(Haykin.S.)  出版社:電子工業出版社  出版日:2010/05/01 裝訂:平裝
本書是自適應信號處理領域的一本經典教材。全書共17章,系統全面、深入淺出地講述了自適應信號處理的基本理論與方法,充分反映了近年來該領域的新理論、新技術和新應用。內容包括:自適應LMS橫向濾波器、自適應格型濾波器、自適應遞歸濾波器、頻域和子帶自適應濾波器、盲自適應濾波器、神經網絡非線性自適應濾波器等及其在通信與信息系統中的應用。
絕版無法訂購
高速數位設計(英文版)(簡體書)

18.高速數位設計(英文版)(簡體書)

作者:(美)詹森  出版社:電子工業出版社  出版日:2010/05/01 裝訂:平裝
本書是信號完整性領域的一部經典著作。全書結合了數字和模擬電路理論,對高速數字電路系統設計中的信號完整性和EMC方面的問題進行了深入淺出的討論和研究,其中不僅包括關於高速數字設計中EMC方面的許多實用信息,還包括許多有價值的測試技術。另外,書中詳細討論了涉及信號完整性方面的傳輸線、時鐘偏移和抖動、端接、過孔等問題,具有極高的實踐指導意義。本書通俗易懂,理論與實踐方法結合緊密,是高速數字設計人員必備參
絕版無法訂購
集成電路電源完整性分析與管理(簡體書)

19.集成電路電源完整性分析與管理(簡體書)

作者:奈爾  出版社:電子工業出版社  出版日:2013/11/01 裝訂:平裝
本書全面論述了電源完整性問題,特別是在納米級工藝下系統芯片的電源完整性的基本概念,揭示了其對於集成電路系統的重要意義,討論了電源完整性問題在小工藝線寬下所遇到的種種挑戰,以及為解決這些問題所引入的先進分析方法、管理技術及可用於設計前期的具有突破性的實用工具。本書涵蓋了電源完整性問題從基礎理論到先進技術的各個方面,可作為相關專業本科生及研究生的教學指導用書。同時與其他大多數同類書籍相比,該書更強調直
絕版無法訂購
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6

暢銷榜

客服中心

收藏

會員專區