TOP
0
0
【簡體曬書區】 單本79折,5本7折,活動好評延長至5/31,趕緊把握這一波!

三民網路書店 中國圖書館分類法 / 工業技術 / 無線電電子學、電信技術 / 微電子學、集成電路(IC) / 半導體集成電路(固體電路)

217筆商品,1/11頁
數字集成電路設計與實戰(簡體書)
滿額折

1.數字集成電路設計與實戰(簡體書)

作者:曲英傑  出版社:化學工業出版社  出版日:2024/04/01 裝訂:平裝
定價:1014 元, 優惠價:87 882
海外經銷商無庫存,到貨日平均30天至45天
芯片封測從入門到精通(簡體書)
滿額折

2.芯片封測從入門到精通(簡體書)

作者:江一舟  出版社:北京大學出版社  出版日:2024/04/01 裝訂:平裝
定價:414 元, 優惠價:87 360
海外經銷商無庫存,到貨日平均30天至45天
芯片安全導論(簡體書)
滿額折

3.芯片安全導論(簡體書)

作者:董晨; 劉西蒙; 郭文忠  出版社:人民郵電出版社  出版日:2024/03/01 裝訂:平裝
本書系統地介紹了網絡物理系統中常見芯片所面臨的安全威脅,涵蓋集成電路、生物芯片、人工智能芯片等常見芯片架構,並從安全角度出發介紹了已有的安全防範技術,包括知識產權保護、硬件木馬預防及檢測等。硬件是網絡物理系統的基礎,芯片是其核心部件,芯片安全對整個網絡空間安全來說至關重要。本書內容全面、技術新穎,不僅包括作者原創科研成果,還囊括其他學者的前沿研究成果。本書在芯片基本知識的基礎上,就現今較先進的研究成果進行歸納總結,對芯片安全領域的學習及研究有重要的啟發意義。本書的讀者對象主要是網絡空間安全、計算機科學、人工智能、微電子等信息類相關專業的高年級本科生及研究生。本書可以作為高等院校相關專業的教學參考書,也可以作為芯片及安全類興趣愛好者及研究人員的閱讀用書。
定價:959 元, 優惠價:87 834
海外經銷商無庫存,到貨日平均30天至45天
CMOS模擬集成電路設計基礎(簡體書)
滿額折

4.CMOS模擬集成電路設計基礎(簡體書)

作者:鄒志革; 劉冬生  出版社:華中科技大學出版社  出版日:2024/03/01 裝訂:平裝
本教材從CMOS集成電路設計的基本理論中精煉出119個知識點,結合微課和案例仿真的多種形式,深入淺出地講解了CMOS模擬集成電路的基本原理、分析和設計方法。本書採用湖北九同方微電子有限公司提供的雲平臺EDA軟件完成了所有電路的仿真。全書分為十章,基本涵蓋了國內普通高校講授模擬集成電路的課程大綱。第1章至第9章介紹MOS工藝中的器件、單管放大器、差分放大器、電流源和電流鏡、放大器的頻率特性、反饋結構、講述運算放大器、頻率穩定性和頻率補償、基準電壓源和電流源;第10章講述了一個實際帶隙基準源電路的設計流程和仿真方法。全書提供了240餘個二維碼,讀者掃碼可以觀看微課視頻以及電路仿真案例。本書可以作為高等院校電子信息類本科生的教材,也可以作為國外經典教材的補充閱讀材料和參考書。
定價:408 元, 優惠價:87 355
海外經銷商無庫存,到貨日平均30天至45天
現代模擬集成電路設計(簡體書)
滿額折

5.現代模擬集成電路設計(簡體書)

作者:孫楠; 劉佳欣; 揭路  出版社:清華大學出版社(大陸)  出版日:2024/01/09 裝訂:平裝
本書圍繞先進工藝節點,基於跨導效率的設計方法介紹現代模擬集成電路的分析與設計方法。全書大體上分為三部分: 第一部分(第1~7章)對模擬集成電路中的基本元件晶體管,以及基本的分析與設計方法進行介紹,包括晶體管的長溝道模型與小信號模型、晶體管的基本電路結構、晶體管的性能指標、基於跨導效率的模擬電路設計方法、模擬電路的帶寬分析方法、模擬電路中的噪聲等。第二部分(第 8~10章)介紹模擬電路設計中常見的一些問題與設計技巧,如器件偏差、差分結構、負反饋技術等,並 引入模擬電路中最常見的電路結構,即運算放大器與開關電容電路。第三部分(第11~14章)詳細介紹 了運算放大器的分析與設計方法,並提供完整的運算放大器設計實例作為參考。此外,第15章和第16章還介紹了基準源電路以及集成電路的工藝演進。 本書既可作為集成電路設計領域的本科生和研究生教材,也可供相關科研人員和工程技術人員參考。
定價:450 元, 優惠價:87 392
庫存:1
數字集成電路:原理、設計、測試與應用(簡體書)
滿額折

6.數字集成電路:原理、設計、測試與應用(簡體書)

作者:杜樹春  出版社:化學工業出版社  出版日:2024/01/01 裝訂:平裝
本書通過豐富的數字電路設計實例,詳細介紹了各類型常用數字集成電路從原理分析、設計仿真到檢測、應用的全部知識與技能、技巧。內容涵蓋各種晶體管電路、觸發器電路、振盪器電路、CMOS電路、555集成電路、集成運放電路等的電路原理,Proteus仿真設計方法與技巧、測試與應用技術。書中內容結合作者多年的電路設計從業經驗,引導讀者學習和掌握集成電路底層設計的思路與方法。本書所有實例都是在Proteus 8.0下調試通過的,程序源代碼讀者可以掃描前言中的二維碼免費獲取。本書可供集成電路、電子、信息相關領域的技術人員、電子工程師閱讀,也可供相關專業高等院校的師生參考。
定價:408 元, 優惠價:87 355
海外經銷商無庫存,到貨日平均30天至45天
CMOS模擬集成電路全流程設計(簡體書)
滿額折

7.CMOS模擬集成電路全流程設計(簡體書)

作者:李金城  出版社:機械工業出版社  出版日:2023/11/26 裝訂:平裝
本書理論與實踐並重,為讀者提供CMOS模擬集成電路全流程設計的理論與實踐指導,以及與設計流程有關的背景知識和重要理論分析,同時配有相關的設計訓練,包括具體案例和EDA軟件的操作與使用方法。本書搭建完整的知識體系,幫助讀者全面瞭解和掌握模擬集成電路設計的理論與方法。本書不僅包括從器件版圖結構原理到芯片設計的完整流程,而且還對集成電路設計中重要的實際問題進行了分析和討論,使讀者得到完整的理論與實踐指導,從而具備直接從事CMOS模擬集成電路設計工作的基本能力。
定價:774 元, 優惠價:87 673
庫存:1
圖解芯片製造技術(簡體書)
滿額折

8.圖解芯片製造技術(簡體書)

作者:吳元慶; 劉春梅; 王洋  出版社:化學工業出版社  出版日:2023/11/01 裝訂:平裝
定價:419 元, 優惠價:87 365
海外經銷商無庫存,到貨日平均30天至45天
CMOS模擬集成電路工程實例設計(簡體書)
滿額折

9.CMOS模擬集成電路工程實例設計(簡體書)

作者:劉磊; 師建英; 馬蕾; 閆小兵  出版社:清華大學出版社(大陸)  出版日:2023/10/17 裝訂:平裝
本書是模擬集成電路設計領域相關專業的一本入門教材,以 CMOS模擬集成電路設計為核心,闡述模擬集成電路工程實踐中常見電路基本概念、工作原理和設計方法。本書面向工程實踐,先從MOSFET 的基本結構和I-V 特性出發,詳細地介紹 CMOS模擬集成電路 EDA 設計工具的使用方法;然後分別介紹包括電流鏡、單級運算放大器、兩級運算放大器、帶隙電壓基準、環路振蕩器、比較器、采樣保持電路和逐次逼近型模數轉換器等典型電路結構的設計方法與仿真驗證過程,涵蓋範圍廣,工程實踐性強。 本書可作為高等院校電子科學與技術、微電子、集成電路設計等專業高年級本科生或研究生的教材, 也可作為半導體和集成電路設計領域工程技術人員的參考書。
定價:414 元, 優惠價:87 360
庫存:2
半導體芯片和製造:理論和工藝實用指南(簡體書)
滿額折

10.半導體芯片和製造:理論和工藝實用指南(簡體書)

作者:(美)廉亞光  出版社:機械工業出版社  出版日:2023/10/01 裝訂:平裝
本書是一本實用而先進的關於半導體芯片理論、設計和製造的書籍。半導體製造工藝和所需設備的解釋是基於世界各地潔淨室中使用的設備進行的,以便讀者瞭解如何使用這些設備來實現他們的設計和製造目標。將理論與實踐相結合,所有描述都以高度可視化的文本形式圍繞實際設備和工藝展開,插圖包括設備圖片、製造工藝示意圖和半導體芯片結構圖。本書主要包括如下主題:基本概念,如等離子體機的阻抗失配和理論,以及能帶和Clausius-Clapeyron方程;半導體器件和製造設備的基本知識,包括直流和交流電路、電場、磁場、諧振腔以及器件和設備中使用的部件;晶體管和集成電路,包括雙極型晶體管、結型場效應晶體管和金屬-半導體場效應晶體管;芯片製造中使用的主要工藝,包括光刻、金屬化、反應離子刻蝕(RIE)、等離子體增強化學氣相沉積(PECVD)、熱氧化和注入等;工藝設計和解決問題的技巧,如如何設計幹法刻蝕配方,以及如何解決博世工藝中的問題。
定價:594 元, 優惠價:87 517
海外經銷商無庫存,到貨日平均30天至45天
數字IC設計入門(微課視頻版)(簡體書)
滿額折

11.數字IC設計入門(微課視頻版)(簡體書)

作者:白櫟暘  出版社:清華大學出版社(大陸)  出版日:2023/09/27 裝訂:平裝
本書旨在向廣大有志於投身芯片設計行業的人士及正在從事芯片設計的工程師普及芯片設計知識和工作方法,使其更加瞭解芯片行業的分工與動向。本書共分9個章節,從多角度透視芯片設計,特別是數字芯片設計的流程、工具、設計方法、仿真方法等環節。憑藉作者多年業內經驗,針對IC新人關心的諸多問題,為其提供了提升個人能力,選擇職業方向的具體指導。本書第1章是對IC設計行業的整體概述,並解答了IC新人普遍關心的若干問題。第2章和第3章分別對數字IC的設計方法和仿真驗證方法進行了詳細闡述,力圖介紹實用、規範的設計和仿真方法,避免了Verilog語法書中簡單的語法堆砌及填鴨式的灌輸。第4章是在前兩章的基礎上,通過實例進一步闡述設計方法中的精髓。第5章詳細介紹了作為當今數字芯片主流的SoC芯片架構和設計方法,並對比了非SoC架構的設計,無論對SoC芯片還是非SoC芯片設計都極具參考價值。第6章介紹了3種常用的通信接口協議,同時也可以作為IC設計方法的總結和練習。第7章介紹了數字IC設計必須具備的電路綜合知識和時序約束知識。第8章對數字IC設計中常用工具及其操作方法進行了介紹,能夠幫助新人快速上手。第9章總結歸納了一些學習數字IC設計的方法及如何進行職業發展方向的規劃等熱點問題。書中的一些重點內容和實操環境,都配有視頻予以詳細講解,能夠幫助讀者更深入地掌握書中內容。本書可作為數字芯片設計的科普書,供希望進入該行業的人士或希望瞭解芯片界動向的人力資源行業人士及芯片創業者閱讀。也可作為技術參考書,供學習和從事設計的學生和工程師閱讀。
定價:654 元, 優惠價:87 569
海外經銷商無庫存,到貨日平均30天至45天
模擬集成電路與版圖設計實驗教程(簡體書)
滿額折

12.模擬集成電路與版圖設計實驗教程(簡體書)

作者:劉海濤  出版社:重慶大學出版社  出版日:2023/09/11 裝訂:平裝
本書是作者在多年來《模擬集成電路原理與設計》《定制集成電路設計》《集成電路版圖基礎》《集成電路版圖設計與實踐》等課程實驗教學和教學改革的基礎上編寫而成。教材涵蓋了模擬集成電路電路設計、電路仿真、版圖設計與規則檢查、寄生參數提取與後仿真等模擬集成電路前端與後端設計全流程,採用業界流行EDA工具cadence,能同時兼顧課程教學內容和學生實踐技能的培養。本書可作為集成電路、微電子、電子科學與技術等相關專業的實驗實踐教程,也可作為從事集成電路與版圖設計工程技術人員的參考用書。
定價:234 元, 優惠價:87 204
海外經銷商無庫存,到貨日平均30天至45天
低維形態樣品組合材料芯片高通量製備技術與示範應用(簡體書)
滿額折

13.低維形態樣品組合材料芯片高通量製備技術與示範應用(簡體書)

作者:劉茜等  出版社:科學出版社  出版日:2023/09/01 裝訂:精裝
《低維形態樣品組合材料芯片高通量製備技術與示範應用》重點介紹薄膜、厚膜及粉體樣品組合材料芯片高通量製備技術及其應用示範,內容依託國家重點研發計劃項目“低維組合材料芯片高通量製備及快速篩選關鍵技術與裝備”(2016YFB0700200),同時增加了國內外相關技術領域的研究進展、應用案例和專利分析。《低維形態樣品組合材料芯片高通量製備技術與示範應用》技術內容11章:基於物理氣相沉積的薄膜組合材料芯片高通量製備技術,基於化學氣相沉積的薄膜厚膜組合材料芯片高通量製備技術,基於多源噴塗/光定向電泳沉積厚膜組合材料芯片高通量製備技術,基於外場加熱結合的多通道並行合成粉體組合材料芯片製備技術,以及基於多通道微反應器的微納粉體組合材料芯片製備技術。此外,在第12章專門對比分析國內外高通量製備技術與裝備的專利特點和專利佈局,對制定我國相關技術領域的發展戰略具有參考價值。
定價:1188 元, 優惠價:87 1034
海外經銷商無庫存,到貨日平均30天至45天
半導體集成電路(第二版)(簡體書)
滿額折

14.半導體集成電路(第二版)(簡體書)

作者:余寧梅; 楊媛; 郭仲傑  出版社:科學出版社  出版日:2023/08/01 裝訂:平裝
《半導體集成電路(第二版)》在簡述半導體集成電路的基本概念、發展和面臨的主要問題後,以“器件工藝電路應用”為主線,首先介紹半導體集成電路的主要製造工藝、基本元器件的結構和工作原理,然後重點討論數字集成電路中組合邏輯電路、時序邏輯電路、存儲器、邏輯功能部件,最後介紹模擬集成電路中的關鍵電路和數模、模數轉換電路。《半導體集成電路(第二版)》以問題為導向,在每一章節開始設置了啟發性問題,並以二維碼方式給出了關鍵章節的預習教學視頻。《半導體集成電路(第二版)》內容系統全面,敘述深入淺出,易於自學。配備了器件彩色三維結構圖,讀者可以通過掃描二維碼進行查看。
定價:414 元, 優惠價:87 360
海外經銷商無庫存,到貨日平均30天至45天
模擬/混合信號集成電路抗輻照技術與實踐(簡體書)
滿額折

15.模擬/混合信號集成電路抗輻照技術與實踐(簡體書)

作者:黃曉宗; 李儒章; 付東兵; 吳雪等  出版社:哈爾濱工業大學出版社  出版日:2023/07/01 裝訂:精裝
定價:648 元, 優惠價:87 564
海外經銷商無庫存,到貨日平均30天至45天
MEMS三維芯片集成技術(簡體書)
滿額折

16.MEMS三維芯片集成技術(簡體書)

作者:(日)江刺正喜  出版社:化學工業出版社  出版日:2023/07/01 裝訂:精裝
《MEMS三維芯片集成技術》一書由微機電系統(MEMS)領域的國際著名專家江刺正喜教授主編,對MEMS器件的三維集成與封裝進行了全面而系統的探索,梳理了業界前沿的MEMS芯片製造工藝,詳細介紹了與集成電路成熟工藝兼容的MEMS技術,重點介紹了已被廣泛使用的矽基MEMS以及圍繞系統集成的技術。主要內容包括:體微加工、表面微加工、CMOSMEMS、晶圓互連、晶圓鍵合和密封、系統級封裝等。本書全面總結了各類MEMS三維芯片的集成工藝以及目前最先進的技術,非常適合MEMS器件、集成電路、半導體等領域的從業人員閱讀,為後摩爾時代半導體行業提供了發展思路以及研究方向,並且為電路集成和微系統的實際應用提供了一站式參考。
定價:1188 元, 優惠價:87 1034
庫存:2
數字IC設計及EDA應用(簡體書)
滿額折

17.數字IC設計及EDA應用(簡體書)

作者:杜慧敏; 鄧軍勇  出版社:人民郵電出版社  出版日:2023/07/01 裝訂:平裝
本書面向集成電路設計與集成系統、微電子科學與工程高年級本科生和相關專業低年級研究生以及有一定Verilog HDL語言基礎,未來願意從事數字IC設計的科技人員,針對基於標準單元的大規模數字集成電路設計,介紹自頂向下的設計方法和設計流程,用Verilog HDL描述數字集成電路時常用的規範、設計模式與設計方法,以及數字IC設計流程中Linux/Solaris平臺上主流的EDA工具,包括:仿真工具NC-verilog/VCS、邏輯綜合工具Design Compiler、靜態時序分析工具PrimeTime、形式化驗證工具Formality、工具命令語言TCL以及ICC編譯工具等。本書內容按照基於標準單元的數字IC開發流程進行章節安排,包括EDA工具綜述、可綜合Verilog HDL子集、動態驗證、EDA工具運行環境、UVM、邏輯綜合、靜態時序分析、形式化驗證以及物理設計等,通過實際的工程例子說明設計規範和方法的使用,以及相應的EDA工具軟件的使用細節與注意事項,力求使初學者能夠熟練掌握Verilog HDL語言描述數字電路並初步掌握EDA工具的基本功能和使用。
定價:539 元, 優惠價:87 469
海外經銷商無庫存,到貨日平均30天至45天
圖解入門:半導體元器件精講(簡體書)
滿額折

18.圖解入門:半導體元器件精講(簡體書)

作者:(日)執行直之  出版社:機械工業出版社  出版日:2023/06/09 裝訂:平裝
本書改編自東芝株式會社內部培訓用書。為了讓讀者理解以矽(Si)為中心的半導體元器件,筆者用了大量的圖解方式進行說明。理解半導體元器件原理最有效的圖,其實是能帶圖。全書共7章,包括半導體以及MOS晶體管的簡單說明、半導體的基礎物理、PN結二極管、雙極性晶體管、MOS電容器、MOS晶體管和超大規模集成電路器件。在本書最後,附加了常量表、室溫下(300K)的Si基本常量、MOS晶體管、麥克斯韋玻爾茲曼分佈函數、關於電子密度n以及空穴密度p的公式、質量作用定律、PN結的耗盡層寬度、載流子的產生與複合、小信號下的共發射極電路的電流放大倍數、帶隙變窄以及少數載流子遷移率、閾值電壓Vth、關於漏極電流ID飽和的解釋。本書主要面向具有高中數理基礎的半導體初學者,也可供半導體、芯片從業者閱讀。
定價:594 元, 優惠價:87 517
海外經銷商無庫存,到貨日平均30天至45天
走進中國“芯”(簡體書)
滿額折

19.走進中國“芯”(簡體書)

作者:李玲; 姜波; 張虎  出版社:華中科技大學出版社  出版日:2023/06/01 裝訂:平裝
本專著書是華中科技大學"中國芯"社會實踐團隊優秀調研報告的合集.自2018年以來,華中科技大學四次開展"中國芯"主題社會實踐活動,共派出4支隊伍、380餘名師生,圍繞"中國芯的現狀如何破局""中國芯青年人才如何培養"等問題,對芯片領域的重要企業、相關高校和政府做了深度採訪和調研,積累了大量一手調研資料和相關數據,在此基礎上提出了有參考價值的建議,極大地提升了實踐團隊成員的社會實踐能力,希冀此書能偶助力解決芯片人才難題,為高校實踐育人模式提供新思路.
定價:588 元, 優惠價:87 512
海外經銷商無庫存,到貨日平均30天至45天
芯片簡史:芯片是如何誕生並改變世界的(簡體書)
滿額折

20.芯片簡史:芯片是如何誕生並改變世界的(簡體書)

作者:汪波  出版社:浙江教育出版社  出版日:2023/04/17 裝訂:平裝
一本聚焦熱門話題、熱門行業的實力之作,完整呈現芯片發明和發展的60多年歷程。 全書完整呈現了芯片發明與發展的歷程,從支撐芯片產業發展的量子力學講起,逐漸發展到半導體物理學,進而催生了半導體器件,這些器件又由簡到繁,像一顆發芽的種子,演化出了雙ji型晶體管、MOS場效晶體管、光電二ji管等,並由此集成構造出了模擬芯片(通信和傳感器芯片等)、數字芯片(CPU、存儲器、FPGA等)和光電芯片等。蕞後,本書還展示了芯片設計方法和製造方法由手工到自動的發展過程,並指出了芯片未來面對的挑戰和可能的解決路徑。可以說,瞭解芯片,有這一本書就夠了。 芯片的發展史,就是一部創新史與叛逆史――詳細講述一群叛逆者突破傳統、不斷創新的故事。 作者以芯片誕生和發展為主線,將散落在世界各地的實驗室、雜誌、書籍或新聞報道的龐雜信息重新組織、編排,在力求準確、科學的前提下,寫作了這樣一本綜合性作品,使得我們透過“芯片簡史”這四個字,深入瞭解那些散落在歷史深處的芯片發/明者的個人世界,體驗他們在發/明中所經歷的種種困苦與喜悅、磨難與幸福,與他們一起重走芯片發明之路,看他們如何突破科學研究中的困難與現實世界的阻礙,做出一項項改變甚至顛覆世界面貌的發明和創新。 一部跨越專業人士與大眾藩籬的科技創新史,瞭解芯片發展歷程以及看清未來發展趨勢的絕佳商業圖書。 芯片構成了現代社會正常運轉的核心控制單元,影響範圍涉及國家安/全、商業、政治、文化等方面,沒有芯片,我們現在所擁有的一切都無從談起。為了使大眾能夠更加容易、更加清晰地瞭解芯片,本書作者在寫作時做了以下努力:一是高度還原創新的歷史過程,展現曾經盛行的觀念和技術,以及新的技術是如何萌芽並與之鬥爭和蕞終突破的。二是突出作為創新者的個體,將歷史的聚光燈打在實驗台前的科學家和工程師身上,展現他們內心的渴望、追求、困頓、嫉妒和欣喜。三是將發明一刹那的火花清晰呈現出來。雖然知識的“劑量”被壓縮,卻足以激發讀者智識上的興趣,全書敘述上的驚險程度不遜於一部小說。
定價:899 元, 優惠價:87 782
海外經銷商有庫存,到貨日平均約21天以內
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 11

暢銷榜

客服中心

收藏

會員專區