TOP
0
0
【簡體曬書區】 單本79折,5本7折,活動好評延長至5/31,趕緊把握這一波!
集成電路設計CAD/EDA工具實用教程(簡體書)
滿額折

集成電路設計CAD/EDA工具實用教程(簡體書)

人民幣定價:42 元
定價
:NT$ 252 元
優惠價
87219
絕版無法訂購
相關商品
商品簡介
目次

商品簡介

《集成電路設計CAD/EDA工具實用教程》基於IC設計實例,系統全面地介紹了模擬集成電路設計和數字集成電路設計所需CAD/EDA工具的基礎知識和使用方法。模擬集成電路設計以Cadence工具為主,同時也介紹了業界常用的Hspice電路仿真工具、Calibre版圖驗證工具以及Laker版圖繪制軟件等的使用。數字集成電路設計則介紹了從使用Matlab進行系統級建模、使用ModelSim和NC-Verilog進行仿真、使用XilinxISE進行FPGA硬件驗證、使用DesignCompiler進行邏輯綜合直至使用Astro進行布局布線的完整設計過程,以及數字IC設計的驗證方法學及可測性設計的基本概念和流程。
木書可作為微電子及相關專業的高年級本科生和研究生的集成電路設計課程的教材,也可供集成電路領域科研人員和工程師參考。

目次

前言
第一部分 模擬集成電路設計工具及使用
第1章 典型電路仿真工具軟件
1.1 Cadence電路仿真工具包
1.1.1 設計環境簡介
1.1.2 電路圖輸入工具Virtuoso Schemmic Composer
1.1.3 仿真環境工具Analog Design. Environment
1.1.4 仿真結果的顯示及處理
1.1.5 建立子模塊
1.1.6 設計實例——D觸發器
1.2 Hspice電路仿真工具
1.2.1 Hspice簡介
1.2.2 *sp文件的生成
1.2.3 運行與仿真
1.3 Ultra Sim仿真技術
1.3.1 Ultra Sim簡介
1.3.2 仿真環境設置
1.4 芯片封裝的建模與帶封裝信息的仿真
1.4.1 射頻IC封裝簡介
1.4.2 PKG軟件的具體使用

第2章 模擬集成電路設計及仿真實例
2.1 電壓基準源設計及仿真
2.1.1 電壓基準源簡介
2.1.2 電壓基準源分類
2.1.3 實現帶隙基準源的原理
2.1.4 基準源啟動電路
2.1.5 基準源噪聲
2.1.6 基準源輸出驅動
2.1.7 基準源計算機仿真
2.1.8 基準源的版圖設計
2.2 CMOS集成電路噪聲分析及仿真
2.2.1 噪聲類型
2.2.2 噪聲分析方法
2.2.3 連續時間系統的噪聲仿真
2.3 開關電容電路仿真
2.3.1 開關電容電路簡介
2.3.2 開關電容電路的精度
2.3.3 使用雙相無交疊時鐘的開關電容電路的分析方法
2.3.4 開關電容電路的Cadence仿真方法
2.3.5 開關電容電路頻率響應仿真
2.3.6 開關電容電路的噪聲仿真

第3章 版圖繪制及其工具軟件
3.1 典型CMOS工藝流程簡介
3.2 設計規則簡介
3.3 Ⅵrtuoso軟件簡介及使用
3.3.1 Virtuoso軟件啟用
3.3.2 Virtuoso快捷鍵的使用
3.3.3 設計實例——反相器版圖繪制
3.3.4 PDK簡介
3.4 Laker軟件簡介及使用
3.4.1 Laker’使用時需要的文件
3.4.2 I,aker軟件啟用及主窗口
3.4.3 I,aker基本版圖編輯功能
3.4.4 Laker特有高級版圖編輯功能
3.4.5 原理圖驅動的版圖編輯
3.4.6 設計實例——設計規則驅動的版圖設計
3.4.7 設計實例——利用Mcell完成一個二輸入與非門的版圖設計
3.5 版圖設計中的相關主題
3.5.1 天線效應
3.5.2 Dummy的設計
3.5.3 GuardRing的設計
3.5 -Match的設計

第4章 版圖驗證與后仿真
4.1 版圖驗證與后仿真簡介
4.2 Diva驗證工具
4.2.1 DivaDRC規則文件
4.2.2 Diva版圖提取文件
4.2.3 L,VS文件的介紹
4.2.4 寄生參數提取文件
4.2.5 設計實例——非門的版圖驗證
4.3 Calibre驗證工具
4.3.1 Calibre規則文件
4.3.2 Calibre使用方法
4.3.3 數模混合電路LVS的操作方法

第5章 設計所需規則文件的詳細說明
5.1 完整的DivaDRCExtract LVS規則文件
5.1.1 DivaDRC規則文件
5.1.2 DivaExtract規則文件
5.1.3 DivaLVS規則文件
5.2 Diva層次處理語句的圖文解釋
5.2.1 邏輯命令
5.2.2 關係命令
5.2.3 選擇命令
5.2.4 尺寸命令
5.2.5 層生成命令
5.2.6 存儲命令
5.3 Diva中DRC和寄生參數提取語句
5.3.1 DivaDRC語句
5.3.2 Diva寄生參數提取語句

第二部分 數字集成電路設計工具及使用
第6章 系統級建模與數模混合仿真
6.1 Matlab簡介
6.2 Ma廿ab的Toolboxes
6.2.1 數字信號處理
6.2.2 濾波器設計
6.2.3 LinkForModelSim
6.3 Matlab的編程
6.4 Simulink仿真基礎
6.4.1 Simulink簡介
6.4.2 Simulink的模塊
6.4.3 Simulink仿真參數的設定
6.4.4 設計實例——Simulink操作與幾個常用數字系統模型的仿真
6.5 Verilog-A簡介
6.6 Verilog-A編程
6.6.1 基本語法
6.6.2 基本表達式
6.6.3 模擬運算符
6.6.4 Verilog-A仿真
6.7 Verilog-A建模實例
6.7.1 反相器
6.7.2 利用Cadence中的向導產生模擬模塊
6.8 Spectre-venlog混合信號仿真
6.8.1 Spectre-verilog仿真簡介
6.8.2 創建模擬模塊
6.8.3 創建數字模塊
6.8.4 設置仿真配置文件
6.8.5 設置和檢查模塊劃分
6.8.6 設置數模接口
6.8.7 設置仿真菜單及仿真結果

第7章 數字電路設計與Verllog
7.1 HDL設計方法學
7.1.1 數字電路設計方法
7.1.2 硬件描述語言
7.1.3 設計方法學簡介
7.1.4 VerilogHDI。簡介
7.2 verilogHDL建模
7.2.1 模塊
7.2.2 時延
7.2.3 三種建模方式
7.3 VemDgHDL基本語法
7.3.1 標識符
7.3.2 注釋
7.3.3 格式
7.3.4 數字值集合
7.3.5 數據類型
7.3.6 運算符和表達式
7.3.7 條件語句
7.3.8 case語句
7.4 結構建模
7.4.1 模塊定義
7.4.2 模塊端口
7.4.3 實例化語句
7.5 數據流建模
7.5.1 連續賦值語句
7.5.2 阻塞賦值語句
7.5.3 非阻塞賦值語句
7.5.4 設計實例——頻率計數器
7.6 行為建模
7.6.1 行為建模簡介
7.6.2 順序語句塊

您曾經瀏覽過的商品

購物須知

大陸出版品因裝訂品質及貨運條件與台灣出版品落差甚大,除封面破損、內頁脫落等較嚴重的狀態,其餘商品將正常出貨。

特別提醒:部分書籍附贈之內容(如音頻mp3或影片dvd等)已無實體光碟提供,需以QR CODE 連結至當地網站註冊“並通過驗證程序”,方可下載使用。

無現貨庫存之簡體書,將向海外調貨:
海外有庫存之書籍,等候約45個工作天;
海外無庫存之書籍,平均作業時間約60個工作天,然不保證確定可調到貨,尚請見諒。

為了保護您的權益,「三民網路書店」提供會員七日商品鑑賞期(收到商品為起始日)。

若要辦理退貨,請在商品鑑賞期內寄回,且商品必須是全新狀態與完整包裝(商品、附件、發票、隨貨贈品等)否則恕不接受退貨。

優惠價:87 219
絕版無法訂購

暢銷榜

客服中心

收藏

會員專區