TOP
0
0
即日起~6/30,暑期閱讀書展,好書7折起

三民網路書店 中國圖書館分類法 / 工業技術 / 無線電電子學、電信技術 / 微電子學、集成電路(IC) / 大規模集成電路、超大規模集成電路

35筆商品,1/2頁
低功耗設計與驗證(簡體書)
滿額折
作者:(波蘭)普羅吉納‧孔達卡爾  出版社:科學出版社  出版日:2024/01/01 裝訂:平裝
《低功耗設計與驗證》提出功耗感知驗證的概念和基本原理,結合驗證項目介紹多種功耗驗證技術、工具及方法,旨在幫助VLSI低功耗設計和驗證人員在低功耗領域從零開始積累經驗。 《低功耗設計與驗證》主要內容包括UPF建模、功耗感知標準庫、基於UPF的動態功耗仿真、基於UPF的靜態功耗驗證等。《低功耗設計與驗證》風格簡潔實用,面向VLSI低功耗設計和驗證領域從初學者到專家的廣泛人群。
定價:348 元, 優惠價:87 303
庫存:2
超大規模集成電路佈線設計理論與算法(簡體書)
滿額折
作者:劉耿耿; 郭文忠  出版社:清華大學出版社(大陸)  出版日:2022/05/01 裝訂:平裝
本書將超大規模集成電路(Very Large Scale Integration,VLSI)中物理設計流程中的總體佈線問題與Steiner 小樹算法相結合,構建了多種有效的佈線算法。本書分為8章,各章內容具體安排如下。 第1章介紹VLSI佈線問題的基本知識;第2章介紹直角結構Steiner 小樹的構建算法;第3章介紹繞障直角結構steiner 小樹的構建算法;第4章介紹考慮障礙中佈線資源重利用的直角結構steiner 小樹的構建算法;第5章介紹直角結構總體佈線算法;第6章介紹直角結構VLsI層分配算法;第7章介紹基於軌道分配的詳細佈線算法;第8章介紹FPGA佈線算法。 本書主要面向計算機科學、自動化科學、人工智能等相關學科專業高年級本科生、研究生以及廣大研究計算智能的科技工作者。
定價:594 元, 優惠價:87 517
海外經銷商無庫存,到貨日平均30天至45天
深入理解微電子電路設計:模擬電子技術及應用(原書第5版)(簡體書)
滿額折
作者:(美)理查德‧C.耶格; 特拉維斯‧N.布萊洛克  出版社:清華大學出版社(大陸)  出版日:2021/11/01 裝訂:平裝
本書系統論述了模擬電子技術的基本知識及其應用。作者從放大器主要概念入手,由淺入深地詳細介紹了放大器相關概念及二端口模型、反饋放大器頻率響應、小信號建模、單晶體管放大器、差分放大器、反饋放大器以及振蕩器等內容, 詳細列舉了模擬電路的相關原理、分析及設計方法。本書從工程求解角度定義了一種非常清晰的問題求解方法,書中提供的大量設計實例都是採用該方法進行求解,有助於讀者加深對相關電路設計的理解與掌握。 通過本書的學習,讀者可以全面掌握模擬電子技術的概念和知識,能夠學會模擬電路的分析及電路設計方法,書中給了大量的設計練習可供讀者進行學習與實踐。 本書可以作為電子信息類、電氣類專業本科生或研究生作為專業教材或參考書,也可以作為從事固態電子學與器件、數字電路和模擬電路設計或開發的工程技術人員的參考資料。
定價:1074 元, 優惠價:87 934
海外經銷商無庫存,到貨日平均30天至45天
數字信號處理及VLSI設計(簡體書)
滿額折
作者:王巍; 趙如法; 張正平  出版社:科學出版社  出版日:2021/02/01 裝訂:平裝
本書全面系統地論述了數字信號處理的基本算法及其硬件實現,包括採用FPGA和全定制的ASIC電路的設計實現。全書共十章,包括數字信號處理的算法概述、數字集成電路的設計實現、數字集成電路基本單元電路設計、有限脈衝響應(FIR)數字濾波器、無限脈衝響應(IIR)數字濾波器、離散傅立葉變換及快速傅立葉變換算法、流水線與並行處理、重定時、展開與折疊、脈動結構設計。
定價:408 元, 優惠價:87 355
海外經銷商無庫存,到貨日平均30天至45天
深入理解微電子電路設計:數字電子技術及應用(原書第5版)(簡體書)
滿額折
作者:(美)理查德‧C.耶格  出版社:清華大學出版社(大陸)  出版日:2020/12/08 裝訂:平裝
本書作者是斯坦福大學教授,全書系統論述了微電子電路設計的原理與方法,是一本在北美廣泛使用的教材。書中不僅給出了基本理論,而且給出了大量電子電路設計的實例,可以作為研究人員與工程人員的參考讀物,也可作為高校學生的教材。
定價:414 元, 優惠價:87 360
海外經銷商無庫存,到貨日平均30天至45天
深入理解微電子電路設計:電子元器件原理及應用(原書第5版)(簡體書)
滿額折
作者:(美)理查德‧C.耶格  出版社:清華大學出版社(大陸)  出版日:2020/10/01 裝訂:平裝
本書系統論述了電子元器件主要電路的基本知識及其應用。從電子學的基本原理入手,介紹了基本的分壓和分流原理、戴維南定理、放大器等基本概念,給出了元器件的容差數學模型以及電路設計中常用的最差情況分析、蒙特卡洛分析等主要分析方法,詳細介紹了半導體材料特性、二極管PN結特性、二極管SPICE模型、整流電路、場效應管及雙極型晶體管的特性及SPICE分析等。本書從工程求解角度定義了一種非常清晰的問題求解方法,書
定價:534 元, 優惠價:87 465
海外經銷商無庫存,到貨日平均30天至45天
深入理解微電子電路設計:電子元器件、數字電路、模擬電路原理及應用(原書第5版)(簡體書)
滿額折
作者:(美)理查德‧C.耶格  出版社:清華大學出版社(大陸)  出版日:2020/06/01 裝訂:平裝
A broad spectrum of topics are included in Microelectronic Circuit Design which gives the professor the option to easily select and customize the material to satisfy a two-semester or three-quarter se
定價:1188 元, 優惠價:87 1034
海外經銷商無庫存,到貨日平均30天至45天
現代VLSI器件基礎(第2版)(簡體書)
作者:(美)陶元; (美)寧德雄  出版社:電子工業出版社  出版日:2020/06/01 裝訂:平裝
本書全面且深入地講授了現代大規模集成電路(VLSI)中主流的半導體器件(CMOS器件、BJT器件等)的基本原理、高等器件物理、器件性能評估、器件設計與應用、器件縮比等一系列問題。該書在高等器件物理與實際的器件設計及其電路應用之間搭建了一座橋樑,不僅具有教科書的作用,還具有重要的應用價值。
缺貨無法訂購
超大規模集成電路佈線技術(簡體書)
作者:(美)文基‧拉馬錢德蘭  出版社:清華大學出版社(大陸)  出版日:2018/04/01 裝訂:精裝
本書作者Pinaki Mazumder教授是IEEE Fellow和AAAS Fellow,在EDA領域有30年以上的教學、科研和工程經歷。 本書彙集電子設計自動化領域包括作者在內的研究者的最新成果,聚焦超大規模集成電路佈線技術,從串行與並行佈線模型開始,到各種基本佈線算法,兼顧芯片設計中的特定情況,重點討論了大量的工業界實用的特殊類型佈線與最新並行佈線器。 本書注重基礎,主要研究迷宮佈線算法、總
缺貨無法訂購
超大規模集成電路設計導論(簡體書)
滿額折
作者:蔡懿慈  出版社:清華大學出版社(大陸)  出版日:2017/07/01 裝訂:平裝
本書從非微電子專業讀者掌握VLSI設計知識和技能的需求出發,以器件、電路和系統設計為背景,系統全面地介紹VLSI設計的知識和方法,主要內容包括VLSI設計概論、MOS器件設計、半導體工藝基礎知識、電路設計及參數計算、基本邏輯電路設計、VLSI版圖設計、半定制全定制及片上系統(SOC)的設計方法以及VLSI計算機輔助設計等。 本書是作者十多年來在清華大學計算機科學與技術系為本科生和研究生開設VLS
定價:228 元, 優惠價:87 198
海外經銷商無庫存,到貨日平均30天至45天
電子電路分析與設計(第2版)(簡體書)
作者:(美)拉希德  出版社:清華大學出版社(大陸)  出版日:2015/07/01 裝訂:平裝
??? 拉希德編著的《電子電路分析與設計(第2版) 》全面深入地闡述了電子電路、半導體器件和集成電 路分析與設計的相關知識。對半導體器件和集成電路 的基本原理進行了嚴密的分析與討論,同時又注重系 統的慨念。本書采用自顧向下(top-down)的方法 來研究電子學,首先介紹集成電路的理想特性以便確 立設計和分析技術,然后研究集成電路中的器件和電 路的特性及工作原理。全書共16章,章介紹了電 了電路的
缺貨無法訂購
超大型集成電路系統導論:邏輯、電路與系統設計(簡體書)
滿額折
作者:林銘波  出版社:電子工業出版社  出版日:2015/07/01 裝訂:平裝
本書對超大型積體電路與系統的分析與設計進行了全面介紹。從電路與版圖設計基礎知識出發,再逐步深入,對超大型積體電路設計進行了詳盡闡述。本書由淺入深,理論聯繫實際,同時提供了大量的圖表和設計實例。全書共16章。第1章至第6章主要介紹層次化IC設計、標準CMOS邏輯設計、金屬氧化物半導體(MOS)電晶體的物理學原理、器件製造、物理版圖、電路模擬、功耗和低功耗設計規則及技巧。第7章至第9章介紹了靜態邏輯和
定價:708 元, 優惠價:87 616
海外經銷商無庫存,到貨日平均30天至45天
超大型集成電路設計(簡體書)
作者:曲英傑; 方卓紅  出版社:人民郵電出版社  出版日:2015/02/01 裝訂:平裝
本書本書根據超大型積體電路設計的工程需要確定知識結構,內容涵蓋了超大型積體電路設計流程中的各個知識點,系統介紹了超大型積體電路的設計思想、原理、方法和技術。主要內容包括數位積體電路設計概述、VLSI設計方法學、Verilog硬體描述語言、Verilog HDL邏輯設計方法、VLSI設計的驗證方法、EDA工具的使用方法、低功耗設計技術、可測性設計方法,以及多個設計實例。其中,設計實例豐富且介紹詳盡,
缺貨無法訂購
超大型集成電路分析與設計(簡體書)
滿額折
作者:王源; 賈嵩; 崔小欣; 王潤聲; 甘學溫  出版社:北京大學出版社  出版日:2014/07/22 裝訂:平裝
《超大規模集成電路分析與設計》是在學生對CMOS邏輯電路有一定了解的基礎上,討論CMOSVLSI電路的原理與設計。首先介紹CMOSVLSI電路發展的基本理論----scalingdown理論,分析器件特征尺寸減小對CMOSVLSI電路的影響,以及CMOSVLSI電路中互連線的寄生效應和影響。全書重點是分析構成數字系統的存儲器、運算器和控制器的結構、電路工作原理和設計考慮。然后討論CMOSVLSI電
定價:348 元, 優惠價:87 303
海外經銷商無庫存,到貨日平均30天至45天
超大型集成電路物理設計:從圖分割到時序收斂(簡體書)
作者:(美)安德魯  出版社:機械工業出版社  出版日:2014/06/01 裝訂:平裝
本書囊括了物理設計的各個方面,從基本概念開始,到網表劃分、晶片規劃和佈局佈線,最後是時序收斂,討論了佈局、佈線和網表重組中的時序分析和相關最優化。本書在當今的納米時代重新審視了晶片設計實現的基本演算法體系,向讀者展示了物理設計的基本演算法及其在工程實例中的應用。其主要特點如下:1)系統地介紹和評價了電路設計生成晶片幾何版圖所用到的技術及其演算法;2)強調超大型積體電路(VLSI)的數位電路設計與演
缺貨無法訂購
VLSI設計基礎(第三版)(簡體書)
滿額折
作者:李偉華  出版社:電子工業出版社  出版日:2013/08/09 裝訂:平裝
本教材為“普通高等教育‘十一五’國家級規劃教材”,全書共有10章。第1~3章重點介紹了VLSI設計的大基礎,包括三個主要部分:信息接收、傳輸、處理體系結構及與相關硬件的關係;MOS器件、工藝、版圖等共性基礎,以及設計與工藝接口技術、規範與應用。第4~6章介紹了數字VLSI設計的技術與方法,其中第6章以微處理器為對象,綜合介紹了數字系統設計方法的具體應用。第7章介紹了數字系統的測試問題和可測試性設計
定價:234 元, 優惠價:87 204
海外經銷商無庫存,到貨日平均30天至45天
CMOS超大規模集成電路設計(第四版)(簡體書)
作者:(美)韋斯特  出版社:電子工業出版社  出版日:2012/07/01 裝訂:平裝
《CMOS超大規模集成電路設計(第4版)》是本經典教材,該版本反映了近年來集成電路設計領域面貌的迅速變化,突出了延時、功耗、互連和魯棒性等關鍵因素的影響。內容涵蓋了從系統級到電路級的CMOS VLSI設計方法,介紹了CMOS集成電路的基本原理,設計的基本問題,基本電路和子系統的設計,以及CMOS系統的設計實例(包括一系列當前設計方法和CMOS的特有問題,以及測試、可測性設計和調試等技術)。全書加強
絕版無法訂購
CMOS超大規模集成電路設計(第四版)(英文版)(簡體書)
作者:(美)韋斯特; (美)哈里斯  出版社:電子工業出版社  出版日:2011/08/01 裝訂:平裝
這本由美國的Neil H.E. Weste和David Money Harris所著的《CMOS超大規模集成電路設計(第4版英文版)》是本經典教材,該版本反映了近年來集成電路設計領域面貌的迅速變化,突出了延時、功耗、互連和魯棒性等關鍵因素的影響。內容涵蓋了從系統級到電路級的CMOS VLSI設計方法,介紹了CMOS集成電路的基本原理,設計的基本問題,基本電路和子系統的設計,以及CM
絕版無法訂購
現代VLSI設計:基於IP核的設計(第四版)(簡體書)
作者:(美)沃爾夫  出版社:電子工業出版社  出版日:2011/07/01 裝訂:平裝
《現代VLSI設計--基于IP核的設計(第4版)》由Wayne Wolf所著,全面介紹了現代VLSI芯片先進設計技術和方法,并重點討論基于IP核的SoC設計方法。書中反映出了SoC芯片設計的新進展及新技術。全書共分8章,內容包括數字系統與VLSI、制備與器件、邏輯門電路、組合邏輯、時序邏輯、子系統設計、平面布圖、體系結構設計。每章結尾附有難度不同的習題,附錄給出了詳細的詞匯表和專用
缺貨無法訂購
Verilog數字VLSI設計教程(含CD光盤1張)(簡體書)
作者:(美)威廉斯  出版社:電子工業出版社  出版日:2010/07/01 裝訂:平裝
本書分成多個課程段,講授數字IC設計中常用技能與技術、工程設計中通常遇到的具體設計調試方法。其中包括數字IC設計流程中會遇到的諸多典型實例(計數器類型與結構、數據存儲與Verilog陣列、狀態機、FIFO等)以及典型問題(上升-下降延遲、串并轉換、時序檢查等),尤其是IC設計中PLL設計應用、時序仿真中的延遲反標注、DFT、設計驗證等IC工程設計中的實用技術。通過給出設計實例,講解此類問題的解決方
絕版無法訂購
  • 1
  • 2

暢銷榜

客服中心

收藏

會員專區